ASML сохраняет возможность поставлять санкционное оборудование в Китай до конца года

Новые ограничения при этом вступили в силу сегодня.

Передовые литографические сканеры для работы со сверхжёстким ультрафиолетовым излучением ASML не имеет возможности поставлять в Китай с 2019 года, ещё до вступления в силу глобальных ограничений со стороны США, но с первого сентября власти Нидерландов вводят новые ограничения на поставку литографического оборудования в Китай, которые коснутся и менее современного оборудования с использованием иммерсионной литографии с глубоким ультрафиолетовым излучением. Как отмечает Bloomberg со ссылкой на заявления представителей ASML, эта компания располагает экспортной лицензией, позволяющей поставлять такое оборудование китайским клиентам вплоть до конца текущего года.

asml_01.jpg

Источник изображения: Bloomberg

В январе ASML пришлось бы получать новые экспортные лицензии, но представители компании ожидают, что пытаться сделать это не имеет большого смысла. Для ASML китайский рынок является третьим по величине источником выручки, но до сих пор руководство компании выражало уверенность, что высокий спрос на оборудование со стороны прочих клиентов позволит компенсировать существенную часть потерь на китайском направлении.

©  overclockers.ru